Hit Count

Wednesday, November 24, 2010

timescale directive question

What Will be the output for

`timescale 2ns/100ps
module tb();
integer a;

initial
begin
#3.05 a =1'b1;
#100
a= 1'b0;
end
endmodule

What Will be time when intial block will end OR a = 1'b1 will execute.

No Simulation result please,your brain is enough.

No comments:

Post a Comment